CPU年表

Intel 4004 マイクロプロセッサ1971年

CPU年表(CPUねんぴょう)では、マイクロプロセッサとして実装された、中央処理装置または中央演算処理装置(CPU)に関する年表を掲載する。記事名は「CPU年表」となっているが、マイクロプロセッサ以前のCPUについては殆ど全く(まだ)書かれていない。

主に汎用コンピュータワークステーションパーソナルコンピュータ(パソコン)用のCPUに関する年表であり、1980年代以降の組み込み用CPUに関する項目は含まれていない。主要CPUメーカーの主な製品を示す。細かい派生製品については各メーカーの項目の説明にゆずる。

1970年代前半 マイクロプロセッサの登場[編集]

マイクロプロセッサ以前、コンピュータのcentral processing unit (CPU) は、多数の集積回路 (IC) で実装されており、汎用ロジックICとカスタム設計のICが必要に応じて使われていた。1970年代に入ると、LSIと呼ばれるような大規模なICによって、4ビット程度のプロセッサであれば1つのLSIに実装できるようになりマイクロプロセッサが登場した。背景には、1960年代後半に日本で起こった電卓戦争と呼ばれる開発競争と爆発的に拡大した市場による需要があった。電卓戦争の中で4004が誕生した。一方で、この時代には既にメインフレーム(例えばSystem/360)は32ビット、ミニコンピュータ(たとえばPDP-11)でも16ビットであり、また当時のLSIに使われたMOSのテクノロジでは動作速度も遅かったことから、コンピュータのメインストリームにマイクロプロセッサの存在が影響を与え始めたのは、もっと後である。

マイクロプロセッサ#最初のマイクロプロセッサも参照。

1970年代半ば-後半 パソコン用マイクロプロセッサの登場[編集]

1970年代半ば頃からパーソナルコンピュータ(パソコン)で広く採用されたCPUが相次いで登場した。8ビットパソコンは、アメリカでは1970年代半ばから、日本では1970年代末から本格的に登場した(パーソナルコンピュータ史を参照)。

  • 1974年4月 インテル 8080 発表
    コンピュータ用途を意識した8ビットCPU。従来のCPUは制御装置など組み込み用途を意識していた。
  • 1974年 モトローラ 6800
    8ビットCPU。モトローラ初のマイクロプロセッサ。日立の 8ビットパソコンで採用された。
  • 1975年 モステクノロジー 6502 発表。※出荷は1976年
    8ビットCPU。モトローラ 6800 の派生マイクロプロセッサ。シンプル化による高速化を指向し、アキュムレータが一本しかないという特徴的な設計。当時としては破格の安値で売り出され、AppleApple IIコモドールPET2001など北米のパソコンに多く採用される。また、6502の派生型CPUが任天堂ファミリーコンピュータ(ファミコン)で使用された。
  • 1976年6月 テキサス・インスツルメンツ TMS9900
    初期の代表的な16ビットCPU。複数電圧が必要、クロックの供給方法が特殊(4相)という、ハードウェア的に使いづらいところがあった。
  • 1976年7月 ザイログ Z80 発表。
    インテル 8080上位互換の 8ビットCPU。命令体系の拡張、5V単一電源で動作など、8080よりは格段に使いやすかった。シャープMZシリーズNECPC-8000シリーズPC-8800シリーズなど、日本の代表的な 8ビットパソコンで採用された。また、家電製品のCPUとしても大量に使用された8ビットCPUの傑作でもある。とても多くの派生品がある。2018年現在でもパチンコの制御などで利用されている。
  • 1978年6月 インテル 8086発表
    16ビットCPU。DOSパソコン、現在の Windows パソコンのCPUの先祖にあたる。x86系という言葉は8086とその後継CPUのことを指し、後に8028680386486Pentium などが登場する。これらの後継CPUは上位互換を保っている。
  • 1979年5月 インテル 8088発表
    8086外部バスを 8ビットにした廉価版CPU。1981年8月に登場したIBM PCで採用された。日本では初期の 16ビットパソコンによく採用された。
  • 1979年 モトローラ 6809
    いわゆるミニコンピュータクラス用CPUのアーキテクチャを意識した 8ビットMPU。究極の8ビットCPUと評されることもある。日立富士通などの 8ビットパソコンで採用されたほか、アーケードゲームに多く採用された。また、派生CPUはコントローラー用途として広く利用された。

1980年代前半 32ビットプロセッサの登場[編集]

パソコン分野ではまだ黎明時代から8ビットパソコンの全盛時代にあたるが、CPUの分野では一足先に32ビットCPUが登場する。32ビットCPUはワークステーションなど業務用に使用され、1990年代に入るとパソコンでも本格的に使用されるようになった。

  • 1979年 モトローラ 68000
    内部32ビット、外部バス16ビットのCPU。初期のワークステーションで採用された代表的なCPU。また、1984年に登場したApple Macintosh でも採用された。日本ではX68000に採用された。
  • 1980年NEC、米ハリス、AMDなどのCPUメーカーがセカンドソースでインテル互換CPUを生産
  • 1982年3月 インテル 80286 発表。
    16ビットCPU。1980年代後半-1990年代初頭のパソコンの代表的なCPUとなった。メモリ空間を16MBに拡大した。
  • 1984年 モトローラ MC68020
    外部バスも32ビット化した本格的な 32ビットCPU。業務用ワークステーションやMacintoshで採用された。
  • 1985年10月 インテル DRAM事業から撤退
    インテルは日本の半導体メモリメーカーの攻勢に押されてDRAM分野から撤退し、CPU事業に力を注ぐことになった。結果的に、この敗退が1990年代にはCPUメーカーさらには半導体産業の神を生み出すこととなった。

1980年代後半 RISC の登場[編集]

CPUの開発が進むにつれて、従来のCISCと呼ばれる仕組みに代わってRISCと呼ばれる仕組みを用いることで性能向上を図ろうとする考え方が生まれた。RISC CPUの研究は1980年代前半に進み、1980年代後半になるとミップス・テクノロジーズ (MIPS)、サン・マイクロシステムズなどからRISC方式を採用したCPUが相次いで発表された。しかし、まったく新しいCPUは従来のソフト資産を継承しにくいという弱点を抱えていた。

従来のCPUの互換性を保ちつつ RISC技術も取り入れていく折衷のインテルと、過去のしがらみを断ち切りゼロから作り直した革新の新興RISC CPUメーカーの攻防の行方は、パソコン分野についてはソフト資産重視のインテルに、業務用ワークステーション分野については、RISC CPUメーカーに軍配が上がった。RISC CPUはその後、サーバや組み込みCPUの分野で広く浸透した。

1990年代前半 64ビットRISC の登場[編集]

CPUの分野では業務用向けに64ビットCPUが登場した。RISC CPUを採用したワークステーションはこの頃全盛時代を迎えた。パソコンの分野では 1990年代初頭に16ビットCPUから32ビットCPUへの移行が進み、本格的に32ビット時代に入った。それまでのパソコン用CPUでは、新型CPUが登場してから本格的に普及するまで4-5年程度の遅延が生じていたが、パソコン市場が拡大し競争が活発になるにつれて最新CPUが短期間のうちに普及パソコンに採用されるようになっていった。

1990年代後半 クロック数競争[編集]

業務用 CPU の分野では、この頃、急速に能力を向上させてきたパソコンに押されてワークステーション市場を徐々に失っていった。代わってインターネット時代の到来とともに、業務用CPUは徐々にサーバ分野へと拡大していった。サーバ向けプロセッサではCPUの64ビット化は一段落し、高クロック化とマルチプロセッシングへと向かった。

パソコンCPUの分野では1990年代半ばに Windows 3.1Windows 95 などの GUI OS が普及したことで、個人ユーザーの間でもCPUの性能を極端に追い求めるスピード飢餓(その究極は自作パソコンユーザによるCPUのオーバークロックである)の状態も出現した。一方、1990年代後半には、インテル PentiumPentium III の時代に急激な性能向上が見られ、1990年代末頃になるとスピード飢餓の時代も徐々に解消していった。この過程で x86系CPUの互換品を作っていたメーカーの再編が進み、NexGenを買収した AMD が勢いを伸ばした。1990年代末頃になると、CPUやグラフィックチップの分野から撤退したり事業を売却したりする動きも活発になった。

半導体回路の微細化(ムーアの法則)により回路設計の自由度が増し、商用プロセッサにも投機的実行アウト・オブ・オーダー実行などの高速化手法が続々と導入され、マイクロアーキテクチャが飛躍的に複雑化した。PA-RISCのMAX命令、MMX PentiumのSSE命令など、CPUに内蔵された命令の複雑化・多様化も進んだ。

  • 1995年 IBM/モトローラ PowerPC 604
    32ビットRISC CPU。当時のパソコン向けCPUとしては卓越した演算性能を誇り、Power Macintoshの上位機種で採用されたほか、IBMのサーバ・スーパーコンピュータにも採用された。
  • 1995年 IBM/モトローラ PowerPC 603
    32ビットRISC CPU。低消費電力・低価格に特色があり、Power Macintosh、PowerBookで採用されたほか、組み込み向けに広く使われた。
  • 1995年 サン・マイクロシステムズ UltraSPARC
    64ビットRISC CPU。
  • 1995年 インテル Pentium Pro
    32ビットCISC CPU。
    CISC命令をRISC的命令セット(μOPs)に変換して実行する、当時のトレンドをインテルが初めて採用したCPU。当時の先進的技術を全て盛り込んだP6マイクロアーキテクチャの設計プロファイルは、10年以上に渡って同社におけるプロセッサ設計の土台となる。
  • 1996年 MIPS R10000
  • 1996年4月 ヒューレット・パッカード (HP) PA-RISC8000
    64ビットRISC CPU。
  • 1997年 AMD K6
    32ビットインテル互換CPU。買収したNexGenの設計を流用している。
  • 1997年 IBMモトローラ PowerPC750/740
    32ビットRISC CPU。「PowerPC G3」とも呼ばれる第3世代 PowerPC。603譲りの低価格・低消費電力と、604を凌駕する演算性能をあわせもつ。Power Macintosh G3、PowerBook G3、iMaciBookに採用されたほか、組み込み向けにも広く使われ、ニンテンドーゲームキューブWiiのCPUのベースになっている。
  • 1997年 サン・マイクロシステムズ UltraSPARC II
  • 1997年1月 インテル、MMX Pentium発表。
    32ビットCPU。マルチメディア用演算機能 (MMX) を搭載。
  • 1997年5月 インテル、Pentium II発表
    32ビットCPU。第6世代のコア。独自のカートリッジを採用するなど従来と異なる方向を打ち出したが、やや迷走気味になった。
  • 1998年1月 コンパックが DEC を買収
    アメリカ合衆国で情報産業の再編が進みつつあった中での大きな事件の1つであり、DECの保有していたStrongARMはインテルに売却された。
  • 1998年 IBMPowerPC750L 世界初の銅配線で製作されたCPU。消費電力の削減が可能になった。
  • 1998年 AMD K6-2
    32ビットインテル互換CPU。低価格パソコン市場で健闘しシェアを伸ばした。インテルが Celeron を登場させるきっかけになった。
  • 1998年 インテル Celeron発表。
    AMD K6-2 に対抗した。Pentium に対するローエンド用CPUの位置づけだったが、実質的にはメインストリームのCPUとなった。
  • 1999年2月 ISSCCにて、ソニー・コンピュータエンタテインメントが"Emotion Engine"を発表。PlayStation 2(2000年発売)向けに開発された。
  • 1999年 インテル Pentium III 発表
    32ビットCPU。Pentium IIに高クロック化を意識してパイプラインを長大化する改良を施し、マルチメディア用演算機能を拡張したSSEを追加したもの。
  • 1999年 AMD Athlon
    32ビットCPU。インテル Pentium III と激しい性能競争を繰り広げた。
  • 1999年 モトローラ XPC7400を出荷。
    32ビットRISC CPU。128ビットSIMDAltiVecを搭載し、「PowerPC G4」と呼ばれる。Power Mac、PowerBookのCPUとして採用されたほか、ルーターなど組み込みシステム向けにも広く利用される。

2000年代前半 クロック数競争の終焉とマルチコア時代の到来[編集]

1999年にパソコン分野のCPUクロック競争は激しさを増し、インテルAMDは互いに前倒しでより高い周波数のCPUを発表する熾烈な競争を繰り広げた。そして、ついに2000年春にはCPUの周波数はAMDがわずか先に1GHzの大台に到達した。周波数競争がヒートアップしてピークに達していた頃、奇しくもほぼ同じ時期に株式市場ではITバブルの最盛期となり、崩壊が始まろうとしていた。

1GHzの大台への到達では先んじたAMDだが、増大し続ける消費電力に耐えられずクロック競争に見切りをつけ、処理効率をアピールするためモデルナンバーを導入する。一方インテルは、より高クロックを意識したPentium 4を展開した。デスクトップパソコン向けCPUの消費電力が増大の一途をたどったため、モバイルパソコン向けに専用のプロセッサを設計することが行われるようになった。クロック周波数当たりの性能を稼ぐために、処理を並列化するSIMDの導入も進められた。

2002年にはPOWER4によりサーバ分野でマルチコアCPUが導入された。2003年には、PowerPC 970Athlon 64により、パソコンにも64ビットの時代が到来した。

2004年末、インテルのPentium 4が採用していたNetBurstマイクロアーキテクチャは、発熱と消費電力の増加が抑えられず、ついに一般向けCPUの周波数が3.8GHzで頭打ちになった。インテルは周波数向上をあきらめ、64ビット・SIMD・プリフェッチ・マルチコアなどの技術で性能向上を図ることになる。これに関連して、インテルもAMDに続きプロセッサー・ナンバーを導入することになる。インテルは開発中のCPUをキャンセルしてクロックあたりの性能を重視した路線への転換を余儀なくされた。

業務用CPUでは、x86ベースのPCサーバが広がり、インテルがIA-64をリリースして本格的にサーバCPUの牙城へと乗り出した。高性能CPUを製造するための投資が莫大なものとなり、従来ワークステーション分野やサーバ分野をリードしてきたRISC CPUのメーカーも、他社との提携を行ったり組み込み分野に重点を置くなどの方向転換を行った。

  • 2000年 2000年問題、大きな混乱なし。
  • 2000年 サン・マイクロシステムズUltraSPARC III
  • 2000年1月 米Transmeta Crusoe発表
    内部的にはVLIWだが、コードモーフィングと呼ばれる命令変換技術で、x86系CPU互換を実現する。低消費電力を指向し、モバイル分野を意識したCPU。高密度サーバにも多く採用された。
  • 2000年3月 AMD Athlon の動作周波数が1GHzに到達。
  • 2000年10月 IBM、RS64-IVを発表
    64ビットPowerPCマイクロプロセッサ。市場に出回った製品としては初めて同時マルチスレッディングを実装した。RS64ファミリとしては最後の製品であり、その後はPOWER4に道を譲ることとなった。
  • 2000年11月 インテル Pentium 4 発表
    32ビットCPU。高い周波数の動作を強く意識。マルチメディア用演算機能を拡張したSSE2を搭載。
  • 2001年5月 インテル Xeon 発表 サーバ用
    32ビットサーバ用CPU。
  • 2001年5月 インテル Itanium 発売開始
    初めてIA-64アーキテクチャを実装したCPU。構想は1999年10月に発表していた。新開発のIA-64命令に加えて、IA-32命令デコーダを搭載した64ビットサーバ用CPUで、他社のRISCプロセッサの置き換えを狙った。
  • 2002年 IBM POWER4
    サーバ/メインフレーム用CPU。業界に先駆けて対称型マルチコアを採用した64ビットプロセッサで、その設計は後のPowerPC 970のベースとなった。
  • 2002年5月 ヒューレット・パッカード (HP) とコンパックが合併
  • 2002年4月 インテル Itanium 2 発売開始
    64ビットサーバ用CPU。メモリアクセス機能を改善、演算ユニットを増加させ性能を向上させた。IA-32性能を改善したものの、同時期のx86プロセッサの性能には遠く及ばず、後継のプロセッサではIA-32命令デコード機能が削除された。
  • 2003年3月 インテル Pentium M 発売開始。
    32ビットCPU。モバイルパソコンに特化したプロセッサであった。
  • 2003年4月 AMD Opteron 発表。
    x86を独自に64ビットに拡張したアーキテクチャーAMD64搭載。
  • 2003年8月 アップルコンピュータがPowerPC G5 (PowerPC 970) を搭載したPower Mac G5を発売。世界初の64ビットパソコンであった。
  • 2003年9月 AMD Athlon 64 発表。
    AMD64を搭載したパソコン向けプロセッサ。
  • 2004年2月 サン・マイクロシステムズ UltraSPARC IV
    同時マルチスレッディング(SMT)機能を搭載し、ワンチップで2つのスレッドを実行可能。
  • 2004年 IBM POWER5
    サーバ/メインフレーム用64ビットCPU。マルチコアに加えて、同時マルチスレッディング (SMT)などの新技術を投入し、ワンチップで4つのスレッドを実行可能となった。
  • 2004年6月 インテル Intel 64搭載のPentium 4、Xeon発表。
  • 2004年8月 HP Alpha EV7z 発表。
    最後のAlphaプロセッサ。1.3GHz。
  • 2004年10月 サン・マイクロシステムズ「UltraSPARC IV+」
    UltraSPARC IVプロセッサのデュアルコア版。

2000年代後半 並列化の進展[編集]

クロック周波数の急激な増大に伴い発熱と消費電力が増大の一途をたどり、マイクロアーキテクチャの複雑化とクロックの増大で性能を稼ぐ従来の方向性は行き詰まった。半導体回路の微細化につれてリーク電流が加速度的に増大し、単純に微細化を進めても電力消費と発熱がそれに見合うほど減らなくなった(デナード則の崩壊)。このため単一スレッドの実行速度は停滞気味となり、ハードウェアによる仮想化機能の搭載や、相対的に低いクロックでも高い性能を引き出しやすいSIMDの性能向上に力点が置かれた。

インテルとAMDで約2年ぐらいごとに行われていた新規のCPUコアの開発ペースも鈍化し、既存コアの改良に開発の重点が向けられる。なおインテルは、2007年に発表したコードネームPenrynより、ムーアの法則に続くモデルとして、CPUの製造プロセスとアーキテクチャを1年ごとに交互に進化させていく「チックタックモデル」を導入している。「消費電力あたりの性能」が重要視され、マルチコアCPUが普及する。パーソナルコンピュータ向けでは2コアが主流だが、サーバ向けCPUでは「UltraSPARC T1」のようにマルチコアとハードウェアマルチスレッディングによりワンチップで数十のスレッドを実行するCPUが現れる。

  • 2005年1月 AMD Turion 64概要発表。
  • 2005年2月 Cell 概要発表。
    ヘテロジニアスマルチコアPlayStation 3に搭載されたものは、8コアで3.2GHz動作。
  • 2005年5月 インテル Pentium D
    Intel VTと呼ばれるハードウェア仮想化機能をもつ。
  • 2005年5月 AMD マルチコアOpteron
    第3世代のOpteron。
  • 2005年6月 AMD Athlon 64 X2
    パソコン向けマルチコアCPU。
  • 2005年6月 アップル、2006年よりMacintoshのCPUを徐々にPowerPCからIntel系へ変更することを発表。2006年1月10日にはIntel Coreを搭載したiMac Core DuoおよびMacBook Proを発表した。
  • 2005年10月 P.A.Semi、PWRficient PA6Tを発表。
    64ビットマルチコアプロセッサ。2003年に設立された同社最初の製品で、2GHz動作時の消費電力は5W~13W。
    Conexiumというチップ内独自独自のチップ内インターコネクトを採用し64ビットPowerPCコア、メモリコントローラ、PCI Express、10Gbit Ethernet(XAUI)などを統合。Macintoshへの採用を狙ったが、Intelへの転換で実現せず。P.A.Semiは2008年にアップルに買収され、設計チームはAppleシリコンの開発に転じている。
  • 2005年11月 サン・マイクロシステムズ 、サーバ向けCPU UltraSPARC T1 発表。
    単一チップ上に8個のコアを持つ。それぞれのコアが4スレッドを実行可能で、最大32スレッドを実行。省電力技術「CoolThreads」搭載で消費電力約70Wを実現。
  • 2005年11月22日 マイクロソフト、3コアPowerPC搭載のXbox 360を発売。
  • 2006年1月 インテル Intel Core 発表。
    Pentium Mの後継となるマルチコアCPU。従来のPentium Mとは異なり、デスクトップパソコンもターゲットとした。 Coreマイクロアーキテクチャの採用は次代のCore 2からとなる。
  • 2006年4月 サン・マイクロシステムズ 、サーバ向けCPU UltraSPARC T2 発表。
    UltraSPARC T1を拡張し、1コアで8スレッドを実行可能とした。浮動小数点演算能力を大幅に増強したほか、整数演算能力も向上させた。
  • 2006年7月 AMD、グラフィックチップメーカーのATI Technologiesを買収。CPUにGPUを統合する方向へ。
  • 2006年7月 インテル Intel Core 2 発表。
    >P6+アーキテクチャを拡張してパイプライン数を増やし、さらに128ビット処理が可能な広バンド幅ALUを搭載した高IPC設計。Intel 64 搭載。従来デスクトップパソコン向けに提供されていたNetBurstアーキテクチャが予想以上の発熱と消費電力の増大で限界を迎えたため、Pentium Dをも置き換えるCPUとなった。
  • 2006年10月 IBM 、サーバ向けCPU POWER6 を発表。
    コアごとに4MBの2次キャッシュ、毎秒75GBのメモリアクセス、65nmプロセスで4.5GHzを実現。POWERファミリでは初めてVMXを搭載した。
  • 2006年11月11日 ソニー・コンピュータエンタテインメント、Cell 搭載のPlayStation 3発売。
  • 2007年7月20日 Intelの次世代64ビットマイクロアーキテクチャNehalemの実働試作CPUを発表。あわせて32nm液浸リソグラフィによる試作ウエハと共に一般公開された。
  • 2007年11月12日 インテル、45nmプロセスで製作された Intel Core 2 プロセッサ (コードネーム : Penryn)を発表。
    ハフニウムを使ったHigh-K絶縁膜・金属ゲートを初めて採用、Super Shuffleエンジン、ATA命令などを新たに搭載、Radix-16 dividerによる高速な除算を実現。
  • 2007年11月19日 AMD K10マイクロアーキテクチャに基づいたデスクトップ用プロセッサ Phenomを発表した。Phenomのバリエーションにおいてはクアッドコアの他に、世界初の x86 トリプルコア採用 CPU Phenom 8000 シリーズが発売された。
  • 2008年3月2日 インテル、低消費電力プロセッサ Intel Atom (コードネーム : Silverthorne)を発表。
    あえてアウトオブオーダー実行を採用せず、他方同時マルチスレッディングを採用するなど、消費電力と性能に関する取り組みに特徴がある。
  • 2008年4月9日、サンと富士通は、UltraSPARC T2 Plus(コードネーム : Victoria Falls)発表。
    SMP対応機能が追加され、SMP構成で256ハードウェアスレッドをサポート。[2][3]
  • 2008年11月 インテル、Nehalemマイクロアーキテクチャを採用した第一世代Coreシリーズを発表。
    次世代64ビットマルチコアCPU。ハイパースレッディング・テクノロジーに対応。DDR3を採用した。
    プロセスルールは45nm。
  • 2009年1月8日 AMD、PhenomⅡを発表。
    45nm SOIプロセスを採用し、高クロック化やL3キャッシュの増量、TDPの改善を実現した。
  • 2009年6月1日 AMD、ネイティブ6コアを搭載するOpteronを発表。
    新たにHT Assist(Hyper Transport Assist)が実装された。HT AssistはL3キャッシュ1MBを消費してCPU間でのキャッシュのプローブトラフィックを軽減し、 データベース処理等を高速化する機能である。
  • 2009年8月25日 富士通、SPARC64 VIIIfxを発表。
    HPC向け8コアRISCプロセッサ。理化学研究所の「」に搭載するために開発された。

2010年代前半 CPUのSoC化[編集]

半導体回路の微細化が引き続き進む中、消費電力密度の上昇により、回路上で同時稼働させることのできないエリアが増え(ダークシリコン問題)、多くの処理を専用回路にオフロードすることで電力効率と処理効率の向上を狙う流れが強まった。この流れの中で、並列処理に特化したGPUなどの専用回路もCPUの一機能として取り込まれつつある。外部インターフェースを担うチップセットの機能も取り込まれ、汎用プロセッサとSoCの距離が近づいている。

スーパーコンピュータなどのハイエンド分野においてもx86の進出が進んだ。一方、2010年代に入り著しくなっているのが、組み込み用途とデスクトップの境界領域にあたる携帯デバイスの成長である。スマートフォンタブレットコンピュータなど、モバイルオペレーティングシステムを搭載した情報機器にはパソコン並みの汎用性が強く求められ、組み込み向けプロセッサと汎用CPUの境界はあいまいとなりつつある。この分野においては多様なニーズに特化したSoCに組み込まれるARMアーキテクチャが標準の座を固め、Atomなどのx86プロセッサの進出は不調に終わった。

  • 2010年2月9日 IBM、POWER7を発表。
    サーバ/メインフレーム用マルチコアCPU。POWER6の5倍の性能を持ち、8コアで最大で32スレッドを実行可能。
  • 2010年9月9日 アーム、Cortex-A15 MPCoreを発表。
    32ビットCPUコア。これまでARMアーキテクチャがターゲットとしてきた組み込み向けに加え、モバイルパソコンや高密度サーバもターゲットとした。最大1TBのメモリ空間、OSの仮想化支援、ソフトエラー英語版訂正など、サーバ用途を意識した機能を搭載し、最大16コア構成が可能。
  • 2011年1月4日 AMD、第一世代のAMD Fusionを発表。
    64ビットシングルコア/デュアルコアCPUにGPUを密接に統合し、APU(Accelerated Processing Unit)と称する。部品点数と消費電力を削減できるメリットがあり、主に低価格パソコンやポータブルパソコン向け。
  • 2011年1月5日 インテル、Sandy Bridgeマイクロアーキテクチャを採用した第二世代Coreシリーズを発表。
    64ビットマルチコアCPU。「Intel Core i7」・「Intel Core i5」・「Intel Core i3」・「Intel Pentium」・「Celeron」および「Xeon」ブランドで発売される。GPUコアをオンダイで統合し、新SIMD拡張命令セットIntel AVX を搭載した。
    プロセスルールが前世代のNehalemマイクロアーキテクチャの45nmから32nmに変更された。
  • 2011年1月5日 NVIDIAProject Denverを発表。
    パソコン・モバイル機器・高密度サーバ用SoC。独自開発のARMマルチコアにGPUを組み合わせ、従来のx86ベース汎用プロセッサの代替を狙う。
  • 2011年10月12日 AMD、BulldozerアーキテクチャベースのAMD FXプロセッサを発表。
    最大8コアのパソコン向けプロセッサ。マルチスレッドでパフォーマンスを稼ぐ設計思想で、2コアでFPUを共有する独特の構成をとる。
  • 2011年10月18日 オラクルSPARC T4プロセッサ搭載のサーバ製品を発表。
    8コア64ビットCPU。2命令同時発行やアウトオブオーダー実行、3次キャッシュなどを実装した新開発のS3コアを実装し、前世代のSPARC T3に比較して、単一スレッド当たりの処理速度が約5倍、浮動小数点演算性能が約3倍に向上。
  • 2012年4月24日 インテル、Ivy Bridgeマイクロアーキテクチャを採用した第三世代Coreシリーズを発表。
    64ビットマルチコアCPU。3Dトライゲートトランジスタを採用し、統合GPUを大幅に強化。乱数ジェネレータとRdRand命令などを追加した。「Intel Core i7」・「Intel Core i5」および「Xeon」ブランドで発売される。
    プロセスルールが前世代のSandy Bridgeマイクロアーキテクチャの32nmから22nmに変更された。
  • 2012年10月30日 アーム、Cortex-A57/A53を発表。
    前年に発表した64ビットのARMv8アーキテクチャに準拠する、初のCPUコア。
    アウトオブオーダー機構を搭載し性能を重視したA57とインオーダー構造で消費電力を重視したA53を組み合わせる(big.LITTLE)仕様は、ARMの伝統的な市場であるモバイルを中心ターゲットとしているが、高密度サーバも狙う。
  • 2013年2月18日  オラクル、SPARC T5プロセッサを発表。
    64ビットマルチコアCPU。コアは既存製品のSPARC T4と変わらないS3コアだが、16コアで最大128スレッドの実行が可能となった。
    また同日には富士通がSPARC64 Xプロセッサを発表した。16個のCPUコアを内蔵し、最大32のスレッドを実行できる。
  • 2013年6月2日 インテル、Haswellマイクロアーキテクチャを採用した第四世代Coreシリーズを発表。
    64ビットマルチコアCPU。演算処理のためのポート数が6個から8個へ拡充。4K解像度に対応した。Thunderboltテクノロジに対応。
    プロセスルールは22nmから変更はない。
  • 2014年4月 IBM、POWER8を発表。
    64ビットマルチコアCPU。最高クロック周波数5GHzを誇る。
  • 2014年9月5日 インテル、Broadwellマイクロアーキテクチャを採用した第5世代Coreシリーズを発表。
    64ビットマルチコアCPU。ストアフォワーディングの高速化され、ギャザー命令の高速化もされた。ほとんどがHaswellと変わりない。新ブランドとして「Core m」が追加された。
    プロセスルールが前世代のHaswellマイクロアーキテクチャの22nmから14nmに変更された。

2010年代後半 微細化の停滞 [編集]

2010年代後半になると、半導体の集積ペースの鈍化が顕著となり、「ムーアの法則の終わり」が語られだした。最先端の半導体プロセスのコストは微細化のたびに高騰を続け、東芝ルネサスGlobalFoundriesなど名だたる半導体メーカーは開発競争から次々と脱落。IBMは半導体製造部門を譲渡し撤退した。インテルUMCも先端プロセスの立ち上げでもたつき、最先端ロジックの開発競争では世界最大手の半導体製造ファウンドリとしてトップを走るTSMCサムスン電子が食いつく状態となっている。

微細化の停滞で汎用プロセッサコアの性能向上ペースが緩やかになったため、機械学習に特化したTPUなどのコアを組み合わせるハードウェアアクセラレーションの多用がトレンドとなる。またArmのbig.LITTLEやインテルのLakefieldのように大規模で高性能なコアと小さくて省電力なコアを組み合わせることで性能と平均消費電力削減の両立を狙うアプローチも現れた(ヘテロジニアスマルチコア)。半導体分野の技術革新はモバイルと高密度サーバが主導するようになり、ハイエンドコンピューティングの分野にもArmアーキテクチャが進出した。日々生成されるビッグデータを活用して有用な知見を得るため「データセントリック・コンピューティング」が叫ばれ、サーバ各メーカーはArmやRISC-Vコアと独自開発の専用回路を組み合わせたカスタムチップの開発を競うようになった。ただし、このようなASICは汎用CPUではないためこの項目では詳述しない。

  • 2015年8月 インテル、Skylakeマイクロアーキテクチャを採用した第6世代Coreシリーズを発表。
    64ビットマルチコアCPU。DDR4及びDDR3Lに対応のメモリコントローラを内蔵。Intel Speed Shift Technologyを搭載した。
    新ブランドとして「Intel Core m3」・「Intel Core m5」・「Intel Core m7
    プロセスルールは14nmから変更はない。
  • 2016年8月30日 インテル、Kaby Lakeマイクロアーキテクチャを採用した第7世代Coreシリーズを発表。
    64ビットマルチコアCPU。全モデル動作周波数の増加(最大で300MHz)。CPUに接続された最大16のPCI Express 3.0レーン。PCHに接続された最大24のPCI Express 3.0レーンに対応。Intel Optane テクノロジーのサポート
    今まであった「Core m5」・「Core m7」はブランドから排除された。プロセスルールは14nmから変更はない。
  • 2017年4月4日 富士通、SPARC64 XIIを発表
    64ビットマルチコアCPU。富士通のUNIXサーバ「SPARC M12」に搭載される。
  • 2017年5月29日 アーム、Cortex-A75/A55を発表。
    ARMv8.2-Aアーキテクチャに準拠し、仮想化やセキュリティ機能の強化など、サーバ分野を意識した機能を多く盛り込んだ。
  • 2017年6月 オラクル、SPARC S7/M7を発表
    データベース処理の高速化に特化したSoftware in Silicon機能を搭載。
  • 2017年9月 IBM、POWER9を発表。
    64ビットマルチコアCPU。NVIDIAのインターコネクト規格「NVLink」に対応し、GPUを多用するワークロードでの性能を高めた。2019年時点で世界最速のSummitなどに採用された。
  • 2017年10月5日 インテル、Coffee Lakeマイクロアーキテクチャを採用した第8世代Coreシリーズを発表。
    64ビットマルチコアCPU。DDR4対応のメモリコントローラを内蔵。第6世代と第7世代と同じLGA1151ソケットを採用しているが、第8世代は100番台・200番台チップセットとの互換性はない。300番台チップセットを採用した。Intel創業40周年記念として「Core i7 8086K」が販売された。
    ブランド名が変更され「Pentium」→「Pentium G」 「Xeon」→「Xeon E」となり、「Core m3」はブランドから排除された。
    プロセスルールは14nmから変更はない。
  • 2018年1月3日 多くの高性能CPUにSpectreMeltdown脆弱性の存在が発覚する。
    投機的実行やアウトオブオーダ実行のプロセスを悪用するもので、x86、Arm、POWERなど多くの高性能プロセッサに脆弱性が見つかった。OSやアプリケーション側で回避策が取られたが、特に第5世代以前のIntel Coreプロセッサでは大きな性能低下が発生した。
  • 2018年10月8日 インテル、Coffee Lake Refreshマイクロアーキテクチャを採用した第9世代Coreシリーズを発表。
    64ビットマルチコアCPU。
    プロセスルールは14nmから変更はない。
  • 2018年8月 インテル、Cannon Lakeマイクロアーキテクチャを採用した第10世代Core i3を発表。
    64ビットマルチコアCPU。300番台チップセットを採用。LGAではなくBGAパッケージのみ。
    プロセスルールはCoffee Lakeマイクロアーキテクチャの14nmから10nmへ変更された。インテルのインテル チック・タックでは最も小さいプロセスルールである。
  • 2019年2月20日 アーム、サーバ専用プロセッサNeoverse N1/E1を発表。
    2017年に発表したサーバ専用プロセッサブランドNeoverseの初の製品。設計はモバイル向けプロセッサコアCortex-A76/65AEと大きな違いはない。
    Amazon Web ServicesのGraviton 2など多くの企業に採用された。この頃からArmアーキテクチャのサーバ分野への進出が本格的に進み、サーバ市場でのx86の圧倒的優位は揺らいでいく。
  • 2019年6月 富士通、A64FXを発表。
    Arm v8.2準拠のメニーコアプロセッサ。「」の後継機「富岳」に搭載されるほか、クレイも自社ハードウェアに採用の意向を示している。
  • 2019年7月 AMD、ZEN 2アーキテクチャを採用した第3世代Ryzenシリーズを発表。
    前世代のZENの改良版である。対応チップセットはX570、X470.B450、X370.B350など。
    Ryzen 9、3950Xでの最大ブーストクロックは4.7GHz。インテルが7nmプロセスの立ち上げにつまづいたことにより、TSMCのプロセスを使うAMDの存在感が高まった。
  • 2019年11月 マイクロソフトMicrosoft SQ1を搭載したSurface Pro Xを発売。
    64ビットマルチコアプロセッサ。マイクロソフトとしては初の自社プランドSoCだが、クアルコムとの共同開発で、Snapdragonの性能強化版である。

2020年代 限界を超えて [編集]

最先端半導体工場の設備投資がますます巨大化する中、最先端プロセスの開発競争では、世界最大手ファウンドリであるTSMCの独走状態が定着した。かつて設計と製造の垂直統合(IDM)で圧倒的な強みを誇ったインテルは、2016年以来10nmプロセス(TSMCの7nmプロセス相当)の立ち上げに苦戦し、ファブレスメーカーの攻勢に苦しんでいる。かつてムーアの法則の終わりと考えられていた5ナノメートルを超えても、多層配線技術などを駆使したトランジスタ密度向上の試みは続いているものの、半導体プロセスの微細化が鈍化したため、チップレットなどのパッケージ技術に重点が置かれる。

下位製造メーカーの撤退、レガシー製造設備のスクラップにより半導体の供給余力が減少する中、コロナ禍による「巣ごもり需要」の増加やAI(人工知能)技術の発展、第5世代移動通信システムの普及、車載半導体やIoT機器など需要増要因が重なり、世界的な半導体不足が発生した。

  • 2020年3月3日 Ampere、Armアーキテクチャを採用したクラウド用サーバー向けプロセッサ「Ampere Altra」を発表。
    64ビット80コアCPU。2018年に設立した同社初の製品で、Neoverse N1アーキテクチャをベースに設計した。
    TSMCの7nmプロセスで製造されており、EPYC 7742やXeon Platinum 8280などの競合のx86プロセッサと比較して電力効率だけでなく絶対性能でも並ぶか上回った。
  • 2020年5月 インテル、Comet Lakeアーキテクチャ採用の第10世代core iシリーズを発表。
    64ビットマルチコアCPU。Core i7が8コア16スレッド、Core i5が6コア12スレッド、Core i3が4コア8スレッド。
    Coffee Lakeマイクロアーキテクチャの後継だが、インテルの製造プロセスの停滞により、製造プロセスは14nmプロセスと変わっていない。
  • 2020年6月 インテル、ハイブリッドプロセッサ「Lakefield」を発表。
    モバイル製品向けPoP。10nmプロセスの高速な「Sunny Cove」コアと22nmプロセスの低消費電力な「Tremont」コアを組み合わせ、GPU、PCH、DRAMなどをパッケージ内に統合した。
  • 2020年8月 IBM、POWER10を発表。
    64ビットマルチコアCPU。AIの推論処理性能をPOWER9比10倍以上に高めた。ペタバイト級のメモリの利用を前提に他のシステムのメモリを共有できる「Memory Inception」と呼ぶ機能を搭載。搭載システムは2021年下半期に出荷予定。
    サムスンの7nmプロセスで製造される。
  • 2020年10月8日 AMD、ZEN 3アーキテクチャを採用した第4世代Ryzenシリーズを発表。
    TSMCの7nmプロセス。
    対応チップセットはX570、B550。BIOSのアップデートで一部のX470、B450が対応する。
  • 2020年11月10日 アップル、M1を搭載したMacBook AirMacBook Proをリリース。
    ArmコアとGPU、周辺回路を集積した独自開発のSoC。TSMCの5nmプロセスで製造されており、このプロセスを使用したパソコン用チップとしてはこれが世界初である。
    従来スマートフォン向けに展開してきたAppleシリコンをパソコンに適用したもので、パソコンにおいても汎用CPUからカスタムチップへの転換が本格化した。
  • 2021年3月17日 インテル、Rocket Lakeアーキテクチャを採用した第11世代Coreシリーズを発表。
    14nmプロセスから変更なし。
    対応ソケットはLGA1200。
  • 2021年3月23日 インテル、「IDM 2.0」構想を発表。
    従来の同社の製造と設計の垂直統合(IDM)戦略を転換。CPUの製造でも(TSMCなどの)ファウンドリを活用する一方、インテル自身がファウンドリとなる製造受託を推進する。
  • 2021年4月12日 NVIDIA、データセンター向けCPU「Grace」を発表。
    NVIDIAとして初の汎用マイクロプロセッサ製品。Armの「Neoverse」コアと、独自開発の「NVLink」バスを組み合わせ、同社GPUとの緊密な連携による高性能を狙う。2023年に市場投入予定。
  • 2021年10月27日 インテル、Alder Lakeアーキテクチャを採用した第12世代Coreシリーズを発表。高性能なPコアと高効率なEコアを搭載し、10nmプロセスとなった。対応ソケットはLGA1700。

脚注[編集]

  1. ^ Texas Instruments Calculator Chips (Calculator Technical Information)
  2. ^ サンプレスリリース (2008年4月10日). “2008.04.10 サンと富士通、「SPARC Enterprise」サーバシリーズにUltraSPARC T2 Plusプロセッサ搭載の新機種を投入”. 2008年4月13日閲覧。
  3. ^ 富士通プレスリリース (2008年4月9日). “富士通とサンが新プロセッサ「UltraSPARC T2 Plus」でUNIXサーバ「SPARC Enterprise」のラインナップを拡充 : 富士通”. 2008年4月13日閲覧。