Igor L. Markov

From Wikipedia the free encyclopedia

Igor Leonidovich Markov
Born (1973-03-31) 31 March 1973 (age 51)
Nationality Ukraine
Alma mater
Scientific career
FieldsComputer Science, Electrical Engineering, Optimization, Electronic Design Automation, Artificial Intelligence, Quantum Computing
InstitutionsUniversity of Michigan, Stanford University, Meta Platforms, Google
Thesis Top-Down Timing-Driven Placement with Direct Minimization of Maximal Signal Delay  (2001)
Doctoral advisorAndrew B. Kahng
Doctoral studentsSmita Krishnaswamy
Other notable studentsVivek Shende

Igor Leonidovich Markov (Ukrainian: Ігор Леонідович Марков; born in 1973 in Kyiv, Ukraine) is an American professor,[1] computer scientist and engineer. Markov is known for mathematical and algorithmic results in quantum computation, work on limits of computation, research on algorithms for optimizing integrated circuits and on electronic design automation, as well as artificial intelligence. Additionally, Markov is a California non-profit executive[2] responsible for aid to Ukraine worth tens of millions dollars.[3][4][5]

Igor L. Markov has no known relation to the mathematician Andrey Markov.

Career[edit]

Markov obtained an M.A. degree in mathematics and a Doctor of Philosophy degree in Computer Science from UCLA in 2001.[6][7] From the early 2000s through 2018 he was a professor at University of Michigan,[1] where he supervised doctoral dissertations and degrees of 12 students in Electrical engineering and Computer science.[7] He worked as a principal engineer at Synopsys during a sabbatical leave.[8][9] In 2013-2014 he was a visiting professor at Stanford University.[10] Markov worked at Google on Search and Information Retrieval,[11] and at Meta on Machine Learning platforms.[12][13][14] As of 2024, he works at Synopsys.[15]

Markov is a member of the Board of Directors of Nova Ukraine, a California 501(c)(3) charity organization that provides humanitarian aid in Ukraine.[16] At Nova Ukraine, Markov leads government and media relations, as well as advocacy efforts. Markov curated publicity efforts, established and curated large medical and evacuation projects, and contributed to fundraising.

Awards and distinctions[edit]

ACM Special Interest Group on Design Automation honored Markov with an Outstanding New Faculty Award in 2004.[17]

Markov was the 2009 recipient of IEEE CEDA Ernest S. Kuh Early Career Award "for outstanding contributions to algorithms, methodologies and software for the physical design of integrated circuits."[18][19] Markov became ACM Distinguished Scientist in 2011.[20][21] In 2013 he was named an IEEE fellow[22] "for contributions to optimization methods in electronic design automation".[23]

Award-winning publications[edit]

Markov's peer-reviewed scholarly work was recognized with five best-paper awards, including four at major conferences and a journal in the field of electronic design automation, and one in theoretical computer science:

Books and other publications[edit]

Markov co-authored over 200 peer-reviewed publications in journals and archival conference proceedings, and Google Scholar reported over 19,000 citations of his publications as of October 2023.

In a 2014 Nature article,[36] Markov surveyed known limits to computation, pointing out that many of them are fairly lose and do not restrict near-term technologies. When practical technologies encounter serious limits, understanding these limits can lead to workarounds. More often, what is practically achievable depends on technology-specific engineering limitations.

Markov co-edited the two-volume Electronic Design Automation handbook published in second edition by Taylor & Francis in 2016.[37] He also co-authored five scholarly books published by Springer, among them are two textbooks:

Markov's other books cover uncertainty in logic circuits,[41] dealing with functional design errors in digital circuits,[42] and physical synthesis of integrated circuits.[43]

Key technical contributions[edit]

Quantum computing[edit]

Markov’s contributions include results on quantum circuit synthesis (creating circuits from specifications) and simulation of quantum circuits on conventional computers (obtaining the output of a quantum computer without a quantum computer).

  • An algorithm for the synthesis of linear reversible circuits with at most CNOT gates (asymptotically optimal)[44] that was extended by Scott Aaronson and Daniel Gottesman to perform optimal synthesis of Clifford circuits,[45] with applications to quantum error correction.
  • Optimal synthesis of a two-qubit unitary that uses the minimal number of CNOT gates[46][47]
  • Asymptotically optimal synthesis of an -qubit quantum circuit that (a) implements a given unitary matrix using no more than CNOT gates (less than a factor of two away from the theoretical lower bound) and (b) induces an initial quantum state using no more than CNOT gates (less than a factor of four away from the theoretical lower bound).[46] IBM Qiskit uses Markov's circuit synthesis algorithm.[48]
  • Efficient simulation of quantum circuits with low tree-width using tensor-network contraction.[49] Follow-up works extended this technique with approximations, which allowed them to simulate quantum Fourier transform in poly time.[50][51] Markov's work was used in an essential way in the first proof (by Dorit Aharonov et al.) that quantum Fourier transform can be classically simulated.[50]

Physical design of integrated circuits[edit]

Markov's Capo placer[52] provided a baseline for comparisons used in the placement literature. The placer was commercialized and used to design industry chips.[53] Markov's contributions include algorithms, methodologies and software for

  • Circuit partitioning:[54][55] high-performance heuristic optimizations for hypergraph partitioning
  • Placement:[33][52] algorithms for finding locations of circuit components that optimize interconnects between those components
  • Floorplanning:[56] algorithms and methodologies for chip planning in terms of locations of large components
  • Routing:[57] algorithms based on Lagrangian relaxation to construct global wire routs on a multilayer grid structure
  • Physical synthesis:[29] algorithms and methodologies for altering logic circuits to admit layouts with shorter interconnects or lower latency

Machine learning[edit]

Markov led the development of an end-to-end AI platform called Looper, which supports the full machine learning lifecycle from model training, deployment, and inference all the way to evaluation and tuning of products. Looper provides easy-to-use APIs for optimization, personalization, and feedback collection.[12][58][59]

Activity on social media[edit]

Markov was awarded a Top Writer status on Quora in 2018, 2017, 2016, 2015 and 2014, he has over 80,000 followers. His contributions were republished by Huffington Post, Slate, and Forbes.[60]

Markov is a moderator for the cs.ET (Emerging Technologies in Computing and Communications) subject area on arXiv.

References[edit]

  1. ^ a b "Prof. Igor Markov". University of Michigan, Computer Science and Engineering. Retrieved August 6, 2023.
  2. ^ "Nova Ukraine: Supporting Ukraine in Crisis and Beyond". National Philanthropic Trust. March 30, 2022.
  3. ^ "Civilians Evacuated from Mariupol". CNN Newsroom Transcripts. May 2, 2022.
  4. ^ "Nova Ukraine has raised $30M to help with relief in #Ukraine since #Russia's invasion (video)". Twitter. First Move CNN. May 11, 2022.
  5. ^ "Nova Ukraine Delivers More Than $50 Million of Aid to Ukraine in 2022". PR Newswire. 2022. Retrieved December 19, 2022.
  6. ^ "Igor Leonidovich Markov". Mathematics Genealogy Project. Retrieved August 11, 2023.
  7. ^ a b "Igor Markov: IEEE Xplore author profile". IEEE Xplore. Retrieved October 8, 2023.
  8. ^ US8141024B2, Markov, Igor L. & McElvain, Kenneth S., "Temporally-assisted resource sharing in electronic systems", issued 2012-03-20 
  9. ^ US9285796B2, Markov, Igor L. & McElvain, Kenneth S., "Approximate functional matching in electronic systems", issued 2016-03-15 
  10. ^ "Visiting Professor: Igor Markov". Stanford Electrical Engineering. Retrieved August 11, 2023.
  11. ^ "Patent US 10,235,432 "Document retrieval using multiple sort orders"". Google Patents. Retrieved August 11, 2023.
  12. ^ a b "Inside Meta's AI optimization platform for engineers across the company". Facebook. Retrieved August 11, 2023.
  13. ^ VanBilliard, Jefferson (2023-07-26). "Igor Markov". The AI Conference. Retrieved 2023-10-05.
  14. ^ Kasturi, Nitya; Markov, Igor L. (2022-02-11). "Text Ranking and Classification using Data Compression". I (Still) Can't Believe It's Not Better! Workshop at NeurIPS 2021. PMLR: 48–53.
  15. ^ "Mona Knutsen on LinkedIn: #genairevolution #welcometothefuture #innovationleader #legend". www.linkedin.com. Retrieved 2024-03-27.
  16. ^ "Nova Ukraine Board of Directors". Nova Ukraine. 18 April 2022. Retrieved August 12, 2023.
  17. ^ "Outstanding New Faculty Award". ACM SIGDA. 18 June 2019. Retrieved October 8, 2023.
  18. ^ "IEEE CEDA Ernest S. Kuh Early Career Award". IEEE Council on Electronic Design Automation. Retrieved August 7, 2023.
  19. ^ "IEEE Council on EDA Honors Igor Markov with Early Career Award" (PDF). Business Wire. Retrieved October 3, 2023.
  20. ^ "ACM Names 54 Distinguished Members for Contributions to Computing". ACM. December 15, 2011.
  21. ^ "Igor Markov Named ACM Distinguished Scientist". University of Michigan, Computer Science and Engineering. December 15, 2011.
  22. ^ "Igor Markov | IEEE CASS". ieee-cas.org. Retrieved 2023-10-05.
  23. ^ "Fellows directory". IEEE. Retrieved August 6, 2023.
  24. ^ Vivek V. Shende; Aditya K. Prasad; Igor L. Markov; John P. Hayes (2003). "Synthesis of reversible logic circuits". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 22 (6): 710–722. doi:10.1109/TCAD.2003.811448.
  25. ^ "IEEE Transactions on Computer-Aided Design Donald O. Pederson Best Paper Award | IEEE Council on Electronic Design Automation". ieee-ceda.org. Retrieved 2023-08-12.
  26. ^ Smita Krishnaswamy; George F. Viamontes; Igor L. Markov; John P. Hayes (2005). "Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices". Proceedings of Design Automation and Test in Europe (DATE). 2005: 282–287.
  27. ^ "Best Paper Awards DATE 2006" (PDF). Retrieved August 12, 2023.
  28. ^ Smita Krishnaswamy; George F. Viamontes; Igor L. Markov; John P. Hayes (2008). "Probabilistic transfer matrices in symbolic reliability analysis of logic circuits". ACM Transations on Design Automation of Electronic Systems. 13 (1): 8:1–8:35.
  29. ^ a b Stephen Plaza; Igor L. Markov; Valeria Bertacco (2008). "Optimizing non-monotonic interconnect using functional simulation and logic restructuring". Proceedings of International Symposium on Physical Design (ISPD). 2008: 95–102.
  30. ^ "Best Paper Awards International Symposium on Physical Design (ISPD) 2008". Retrieved October 26, 2023.
  31. ^ Myung-Chul Kim; Dongjin Lee; Igor L. Markov (2010). "SimPL: An effective placement algorithm". Proceedings of International Conference on Computer-Aided Design (ICCAD). 2010: 649–656.
  32. ^ "Best Paper Awards IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2010". Retrieved October 26, 2023.
  33. ^ a b Myung-Chul Kim; Dongjin Lee; Igor L. Markov (2012). "SimPL: An Effective Placement Algorithm". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 31 (1): 50–60. doi:10.1109/TCAD.2011.2170567. S2CID 47293399.
  34. ^ Hadi Katebi; Karem A. Sakallah; Igor L. Markov (2012). "Graph Symmetry Detection and Canonical Labeling: Differences and Synergies". Turing-100. Easy Chair. ISBN 9781782310006.
  35. ^ "Computer Scientists Win Best Paper Award at Turing Centenary Conference". Computer Science and Engineering. Retrieved 2023-08-13.
  36. ^ Markov, Igor (2014). "Limits on Fundamental Limits to Computation". Nature. 512 (7513): 147–154. arXiv:1408.3821. Bibcode:2014Natur.512..147M. doi:10.1038/nature13570. PMID 25119233. S2CID 4458968.
  37. ^ Luciano Lavagno; Igor L. Markov; Grant Martin; Louis K. Scheffer, eds. (2016). Electronic Design Automation for IC System Design, Verification, and Testing; 2nd ed. Taylor & Francis. p. 664. ISBN 9781138586000.
  38. ^ George F. Viamontes; Igor L. Markov; John P. Hayes (2009). Quantum Circuit Simulation. Springer. p. 200. ISBN 978-90-481-3064-1.
  39. ^ Andrew B. Kahng; Jens Lienig; Igor L. Markov; Jin Hu (2011). VLSI Physical Design - From Graph Partitioning to Timing Closure. Springer. pp. 1–310. ISBN 978-90-481-9590-9.
  40. ^ Andrew B. Kahng; Jens Lienig; Igor L. Markov; Jin Hu (2022). VLSI Physical Design - From Graph Partitioning to Timing Closure, 2nd ed. Springer. pp. 1–317. ISBN 978-3-030-96415-3.
  41. ^ Smita Krishnaswamy; Igor L. Markov; John P. Hayes (21 September 2012). Design, Analysis and Test of Logic Circuits Under Uncertainty. Springer. ISBN 978-90-481-9643-2.
  42. ^ Kai-hui Chang; Valeria Bertacco; Igor L. Markov (2009). Functional Design Errors in Digital Circuits - Diagnosis, Correction and Repair. Lecture Notes in Electrical Engineering. Vol. 32. Springer. p. 185. ISBN 978-1-4020-9364-7.
  43. ^ David A. Papa; Igor L. Markov (2013). Multi-Objective Optimization in Physical Synthesis of Integrated Circuits. Lecture Notes in Electrical Engineering. Vol. 166. Springer. p. 155. ISBN 978-1-4614-1355-4.
  44. ^ K. N. Patel; I. L. Markov; J. P. Hayes (2008). "Efficient Synthesis of Linear Reversible Circuits". Quantum Information and Computation. 8 (3–4): 282–294. arXiv:quant-ph/0302002. doi:10.26421/QIC8.3-4-4.
  45. ^ Aaronson, Scott; Gottesman, Daniel (2004). "Improved Simulation of Stabilizer Circuits". Phys. Rev. A. 70 (5): 052328. arXiv:quant-ph/0406196. Bibcode:2004PhRvA..70e2328A. doi:10.1103/PhysRevA.70.052328. S2CID 5289248.
  46. ^ a b Shende, Vivek V.; Bullock, Stephen S.; Markov, Igor L. (2006). "Synthesis of quantum logic circuits". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 25 (6): 1000–1010. arXiv:quant-ph/0406176. doi:10.1109/TCAD.2005.855930. S2CID 265038781.
  47. ^ Shende, Vivek V.; Markov, Igor L.; Bullock, Stephen S. (2004-06-30). "Minimal universal two-qubit controlled-NOT-based circuits". Physical Review A. 69 (6): 062321. arXiv:quant-ph/0308033. Bibcode:2004PhRvA..69f2321S. doi:10.1103/PhysRevA.69.062321. S2CID 119489186.
  48. ^ Araujo, Israel F.; Park, Daniel K.; Petruccione, Francesco; da Silva, Adenilton J. (2021-03-18). "A divide-and-conquer algorithm for quantum state preparation". Scientific Reports. 11 (1): 6329. doi:10.1038/s41598-021-85474-1. ISSN 2045-2322. PMC 7973527. PMID 33737544.
  49. ^ Markov, Igor L.; Shi, Yaoyun (January 2008). "Simulating Quantum Computation by Contracting Tensor Networks". SIAM Journal on Computing. 38 (3): 963–981. arXiv:quant-ph/0511069. doi:10.1137/050644756. ISSN 0097-5397. S2CID 3187832.
  50. ^ a b Aharonov, Dorit; Landau, Zeph; Makowsky, Johann (2006). "The quantum FFT can be classically simulated". arXiv:quant-ph/0611156.
  51. ^ Yoran, Nadav; Short, Anthony J. (2007-10-16). "Efficient classical simulation of the approximate quantum Fourier transform". Physical Review A. 76 (4): 042321. arXiv:quant-ph/0611241. Bibcode:2007PhRvA..76d2321Y. doi:10.1103/PhysRevA.76.042321. S2CID 119444986.
  52. ^ a b Andrew E. Caldwell; Andrew B. Kahng; Igor L. Markov (2000). "Can recursive bisection alone produce routable placements?". Proceedings of the 37th conference on Design automation - DAC '00. Vol. 2000. pp. 477–482. doi:10.1145/337292.337549. ISBN 1581131879. S2CID 4926321.
  53. ^ "IEEE Council on EDA Honors Igor Markov with Early Career Award". www.chipestimate.com. Retrieved 2023-10-03.
  54. ^ Andrew E. Caldwell; Andrew B. Kahng; Igor L. Markov (2000). "Optimal partitioners and end-case placers for standard-cell layout". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19 (11): 1304–1313. doi:10.1109/43.892854.
  55. ^ Caldwell, Andrew E.; Kahng, Andrew B.; Markov, Igor L. (2001-12-31). "Design and implementation of move-based heuristics for VLSI hypergraph partitioning". ACM Journal of Experimental Algorithmics. 5: 5–es. doi:10.1145/351827.384247. ISSN 1084-6654. S2CID 2074760.
  56. ^ Saurabh N. Adya; Igor L. Markov (2003). "Fixed-outline floorplanning: enabling hierarchical design". IEEE Trans. Very Large Scale Integr. Syst. 11 (6): 1120–1135. doi:10.1109/TVLSI.2003.817546.
  57. ^ Jarrod A. Roy; Igor L. Markov (2008). "High-performance routing at the nanometer scale". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27 (6): 1066–1077. doi:10.1109/ICCAD.2007.4397313. S2CID 61607526.
  58. ^ Markov, Igor L.; Wang, Hanson; Kasturi, Nitya S.; Singh, Shaun; Garrard, Mia R.; Huang, Yin; Yuen, Sze Wai Celeste; Tran, Sarah; Wang, Zehui; Glotov, Igor; Gupta, Tanvi; Chen, Peng; Huang, Boshuang; Xie, Xiaowen; Belkin, Michael (2022-08-14). "Looper: An End-to-End ML Platform for Product Decisions". Proceedings of the 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining. KDD '22. New York, NY, USA: Association for Computing Machinery: 3513–3523. arXiv:2110.07554. doi:10.1145/3534678.3539059. ISBN 978-1-4503-9385-0.
  59. ^ Looper: An End-to-End ML Platform for Product Decisions - Igor Markov | Stanford MLSys #60.
  60. ^ "Igor Markov's profile". Quora. Retrieved October 8, 2023.

External links[edit]