PowerPC 600
From Wikipedia the free encyclopedia
This article needs additional citations for verification. (May 2023) |
The PowerPC 600 family was the first family of PowerPC processors built. They were designed at the Somerset facility in Austin, Texas, jointly funded and staffed by engineers from IBM and Motorola as a part of the AIM alliance. Somerset was opened in 1992 and its goal was to make the first PowerPC processor and then keep designing general purpose PowerPC processors for personal computers. The first incarnation became the PowerPC 601 in 1993, and the second generation soon followed with the PowerPC 603, PowerPC 604 and the 64-bit PowerPC 620.
POWER, PowerPC, and Power ISA architectures |
---|
NXP (formerly Freescale and Motorola) |
IBM |
|
IBM/Nintendo |
Other |
Related links |
Cancelled in gray, historic in italic |
Nuclear family[edit]
CPU | Pipeline stages | Misc |
---|---|---|
PowerPC 601 | 4 | 3 execution units, static branch prediction. SMP support. |
PowerPC 603 | 4 | 5 execution units, branch prediction. No SMP. |
PowerPC 604 | 6 | Superscalar, out-of-order execution, 6 execution units. SMP support. |
PowerPC 620 | 5 | Out-of-order execution- SMP support. |
PowerPC 601[edit]
The PowerPC 601 was the first generation of microprocessors to support the basic 32-bit PowerPC instruction set. The design effort started in earnest in mid-1991 and the first prototype chips were available in October 1992. The first 601 processors were introduced in an IBM RS/6000 workstation in October 1993 (alongside its more powerful multichip cousin IBM POWER2 line of processors) and the first Apple Power Macintoshes on March 14, 1994. The 601 was the first advanced single-chip implementation of the POWER/PowerPC architecture designed on a crash schedule to establish PowerPC in the marketplace and cement the AIM alliance. In order to achieve an extremely aggressive schedule while including substantially new functionality (such as substantial performance enhancements, new instructions and importantly POWER/PowerPC's first symmetric multiprocessing (SMP) implementation) the design leveraged a number of key technologies and project management strategies. The 601 team leveraged much of the basic structure and portions of the IBM RISC Single Chip (RSC) processor,[1] but also included support for the vast majority of the new PowerPC instructions not in the POWER instruction set. While nearly every portion of the RSC design was modified, and many design blocks were substantially modified or completely redesigned given the completely different unified I/O bus structure and SMP/memory coherency support. New PowerPC changes, leveraging the basic RSC structure was very beneficial to reducing the uncertainty in chip area/floorplanning and timing analysis/tuning. Worth noting is that the 601 not only implemented substantial new key functions such as SMP, but it also acted as a bridge between the POWER and the future PowerPC processors to assist IBM and software developers in their transitions to PowerPC. From start of design to tape-out of the first 601 prototype was just 12 months in order to push hard to establish PowerPC on the market early.
60x bus[edit]
In order to help the effort to rapidly incorporate the 88110 bus architecture to the 601 for the benefit of the alliance and its customers, Motorola management provided not only the 88110 bus architecture specifications, but also a handful of 88110 bus-literate designers to help with the 60x bus logic implementation and verification. Given the Apple system design team was familiar with the I/O bus structure from Motorola's 88110 and this I/O bus implementation was well defined and documented, the 601 team adopted the bus technology to improve time to market. The bus was renamed the 60x bus once implemented on the 601.[2] These Motorola (and a small number of Apple) designers joined over 120 IBM designers in creating the 601.
Using the 88110 bus as the basis for the 60x bus helped schedules in a number of ways. It helped the Apple Power Macintosh team by reducing the amount of redesign of their support ASICs and it reduced the amount of time required for the processor designers and architects to propose, document, negotiate, and close a new bus interface (successfully avoiding the "Bus Wars" expected by the 601 management team if the 88110 bus or the previous RSC buses hadn't been adopted). Worthy to note is that accepting the 88110 bus for the benefit of Apple's efforts and the alliance was at the expense of the first IBM RS/6000 system design team's efforts who had their support ASICs already implemented around the RSC's totally different bus structure.
This 60x bus later became a fairly long lived basic interface for the many variants of the 601, 603, 604, G3, G4 and Motorola/Freescale PowerQUICC processors.
Design[edit]
The chip was designed to suit a wide variety of applications and had support for external L2 cache and symmetric multiprocessing. It had four functional units, including a floating-point unit, an integer unit, a branch unit and a sequencer unit. The processor also included a memory management unit. The integer pipeline was four stages long, the branch pipeline two stages long, the memory pipeline five stages long, and the floating-point pipeline six stages long.
First launched in IBM systems in the fall of 1993, it was marketed by IBM as the PPC601 and by Motorola as the MPC601. It operated at speeds ranging from 50 to 80 MHz. It was fabricated using a 0.6 μm CMOS process with four levels of aluminum interconnect. The die was 121 mm2 large and contained 2.8 million transistors. The 601 has a 32 KB unified L1 cache, a capacity that was considered large at the time for an on-chip cache. Thanks partly to the large cache it was considered a high performance processor in its segment, outperforming the competing Intel Pentium. The PowerPC 601 was used in the first Power Macintosh computers from Apple, and in a variety of RS/6000 workstations and SMP servers from IBM and Groupe Bull.
IBM was the sole manufacturer of the 601 and 601+ microprocessors in its Burlington, Vermont and East Fishkill, New York production facilities. The 601 used the IBM CMOS-4s process and the 601+ used the IBM CMOS-5x process. An extremely small number of these 601 and 601+ processors were relabeled with Motorola logos and part numbers and distributed through Motorola. These facts are somewhat obscured given there are various pictures of the "Motorola MPC601", particularly one specific case of masterful Motorola marketing where the 601 was named one of Time Magazine's 1994 "Products of the Year" with a Motorola marking.
PowerPC 601v[edit]
An updated version, the PowerPC 601v or PowerPC 601+, operating at 90 to 120 MHz was introduced in 1994. It was fabricated in a newer 0.5 μm CMOS process with four levels of interconnect, resulting in a die measuring 74 mm2. The 601+ design was remapped from CMOS-4s to CMOS-5x by an IBM-only team. To avoid time-to-market delays from design tool changes and commonizing fab groundrules, both the 601 and 601+ were designed with IBM EDA tools on IBM systems and were fabricated in IBM-only facilities.[3][4][5][6]
PowerPC 603[edit]
The PowerPC 603 was the first processor implementing the complete 32-bit PowerPC Architecture as specified. Introduced in 1994, it was an advanced design for its day, being one of the first microprocessors to offer dual issue (up to three with branch folding) and out-of-order execution combined with low power consumption of 2.2 W and a small die of 85 mm2.[7][8][9][10] It was designed to be a low cost, low power processor for portable applications. One of the main features was power saving functions (doze, nap and sleep mode) that could dramatically reduce power requirements, drawing only 2 mW in sleep mode. The 603 has a four-stage pipeline and five execution units: integer unit, floating-point unit, branch prediction unit, load/store unit and a system registry unit. It has separate 8 KB L1 caches for instructions and data and a 32/64 bit 60x memory bus, reaching up to 120 MHz at 3.8 V.[10] The 603 core did not have hardware support for SMP.
The PowerPC 603 had 1.6 million transistors and was fabricated by IBM and Motorola in a 0.5 μm CMOS process with four levels of interconnect. The die was 85 mm2 large drawing 2.2 W at 80 MHz.[10][11] The 603 architecture is the direct ancestor to the PowerPC 750 architecture, marketed by Apple as the PowerPC "G3".
The 603 was intended to be used for portable Apple Macintosh computers but could not run 68K emulation software with performance Apple considered adequate, due to the smaller processor caches. As a result, Apple chose to only use the 603 in its low-cost desktop Performa line.[12][13] This caused the delay of the Apple PowerBook 5300 and PowerBook Duo 2300, as Apple chose to wait for a processor revision. Apple's use of the 603 in the Performa 5200 line led to the processor getting a poor reputation. Aside from the issue of 68K emulation performance, the Performa machines shipped with a variety of design flaws, some of them severe, related to other aspects of the computers' design, including networking performance and stability, bus problems (width, speed, contention, and complexity), ROM bugs, and hard disk performance.[14][15] None of the problems of the 5200 line, aside from 68K emulation performance, were inherently due to the 603. Rather, the processor was retrofitted to be used with 68K motherboards and other obsolete parts.[16] The site Low End Mac rates the Performa 5200 as the worst Mac of all-time.[17] The 603 found widespread use in different embedded appliances.[citation needed]
PowerPC 603e and 603ev[edit]
The performance issues of the 603 were addressed in the PowerPC 603e. The L1 cache was enlarged and enhanced to 16 KB four-way set-associative data and instruction caches. The clock speed of the processors was doubled too, reaching 200 MHz. Shrinking the fabrication process to 350 nm allowed for speeds of up to 300 MHz. This part is sometimes called PowerPC 603ev. The 603e and 603ev have 2.6 million transistors each and are 98 mm2 and 78 mm2 large respectively. The 603ev draws a maximum of 6 W at 300 MHz.[18][19]
The PowerPC 603e was the first mainstream desktop processor to reach 300 MHz, as used in the Power Macintosh 6500. The 603e was also used in accelerator cards from Phase5 for the Amiga line of computers, with CPUs ranging in speeds from 160 to 240 MHz. The PowerPC 603e is still sold today by IBM and Freescale, and others like Atmel and Honeywell who makes the radiation hardened variant RHPPC. The PowerPC 603e was also the heart of the BeBox from Be Inc. The BeBox is notable since it is a multiprocessing system, something the 603 wasn't designed for. IBM also used PowerPC 603e processors in the IBM ThinkPad 800 series. In certain digital oscilloscope series, LeCroy used the PowerPC 603e as the main processor.[20][21] The 603e processors also power all 66 satellites in the Iridium satellite phone fleet. The satellites each contain seven Motorola/Freescale PowerPC 603e processors running at roughly 200 MHz each. A custom 603e processor is also used in the Mark 54 Lightweight Torpedo.
G2[edit]
The PowerPC 603e core, renamed G2 by Freescale, is the basis for many embedded PowerQUICC II processors, and, as such, it keeps on being developed. Freescale's PowerQUICC II SoC processors bear the designation MPC82xx, and come in a variety of configurations reaching 450 MHz. The G2 name is also used as a retronym for the 603e and 604 processors to align with the G3, G4, and the G5.
e300[edit]
Freescale has enhanced the 603e core, calling it e300, in the PowerQUICC II Pro embedded processors. Larger 32/32 KB L1 caches and other performance enhancing measures were added. Freescale's PowerQUICC II Pro SoC processors bear the designation MPC83xx, and come in a variety of configurations reaching speeds up to 667 MHz. The e300 is also the core of the MPC5200B SoC processor that is used in the small EFIKA computer.
PowerPC 604[edit]
The PowerPC 604 was introduced in December 1994 alongside the 603 and was designed as a high-performance chip for workstations and entry-level servers and as such had support for symmetric multiprocessing in hardware. The 604 was used extensively in Apple's high-end systems and was also used in Macintosh clones, IBM's low-end RS/6000 servers and workstations, Amiga accelerator boards, and as an embedded CPU for telecom applications.
The 604 is a superscalar processor capable of issuing four instructions simultaneously. The 604 has a six-stage pipeline and six execution units that can work in parallel, finishing up to six instructions every cycle. Two simple and one complex integer units, one floating-point unit, one branch-processing unit managing out-of-order execution and one load/store unit. It has separate 16 KB data and instruction L1 caches. The external interface is a 32- or 64-bit 60x bus that operates at clock rates up to 50 MHz.
The PowerPC 604 contains 3.6 million transistors and was fabricated by IBM and Motorola with a 0.5 μm CMOS process with four levels of interconnect. The die measured 12.4 mm by 15.8 mm (196 mm2) and drew 14-17 W at 133 MHz. It operated at speeds between 100 and 180 MHz.[22][23][24]
PowerPC 604e[edit]
The PowerPC 604e was introduced in July 1996 and added a condition register unit and separate 32 KB data and instruction L1 caches among other changes to its memory subsystem and branch prediction unit, resulting in a 25% performance increase compared to its predecessor. It had 5.1 million transistors and was manufactured by IBM and Motorola on a 0.35 μm CMOS process with five levels of interconnect. The die was 148 mm2 or 96 mm2 large, manufactured by Motorola and IBM respectively, drawing 16–18 W at 233 MHz. It operated at speeds between 166 and 233 MHz and supported a memory bus up to 66 MHz.[25][26]
PowerPC 604ev "Mach5"[edit]
The PowerPC 604ev, 604r or "Mach 5" was introduced in August 1997 and was essentially a 604e fabricated by IBM and Motorola with a newer process, reaching higher speeds with a lower energy consumption. The die was 47 mm2 small manufactured on a 0.25 μm CMOS process with five levels of interconnect, and drew 6 W at 250 MHz. It operated at speeds between 250 and 400 MHz and supported a memory bus up to 100 MHz.
While Apple dropped the 604ev in 1998 in favor for the PowerPC 750, IBM kept using it in entry-level models of its RS/6000 computers for several years.
PowerPC 620[edit]
The PowerPC 620 was the first implementation of the entire 64-bit PowerPC architecture. It was a second generation PowerPC alongside the 603 and 604, but geared towards the high-end workstation and server market. It was powerful on paper and was initially supposed to be launched alongside its brethren but it was delayed until 1997. When it did arrive, the performance was comparably poor and the considerably cheaper 604e surpassed it.[27] The 620 was therefore never produced in large quantities and found very little use. The sole user of PowerPC 620 was Groupe Bull in its Escala UNIX machines, but they didn't deliver any large numbers. IBM, which intended to use it in workstations and servers, decided to wait for the even more powerful RS64 and POWER3 64-bit processors instead.
The 620 was produced by Motorola in a 0.5 μm process. It had 6.9 million transistors and the die had an area of 311 mm2. It operated at clock rates between 120 and 150 MHz, and drew 30 W at 133 MHz. A later model was built using a 0.35 μm process, enabling it to reach 200 MHz.[further explanation needed]
The 620 was similar to the 604. It has a five-stage pipeline, same support for symmetric multiprocessing and the same number of execution units; a load/store unit, a branch unit, an FPU, and three integer units. With larger 32 KB instruction and data caches, support for a L2 cache that may have a capacity of 128 MB, and more powerful branch and load/store units that had more buffers, the 620 was very powerful. The branch history table was also larger and could dispatch more instructions so that the processor can handle out-of-order execution more efficiently than the 604. The floating-point unit was also enhanced compared to the 604. With a faster fetch cycle and support for several key instructions in hardware (like sqrt) made it, combined with faster and wider data buses, more efficient than the FPU in the 604.[further explanation needed]
6XX and GX buses[edit]
The system bus was a wider and faster 128-bit memory bus called the 6XX bus. It was designed to be a system bus for multiprocessor systems where processors, caches, memory and I/O was to be connected, assisted by a system control chip. It supports both 32- and 64-bit PowerPC processors, memory addresses larger than 32 bits, and NUMA environments. It was also used in POWER3, RS64 and 601, as well as 604-based RS/6000 systems (with a bridge chip).[28] The bus later evolved into the GX bus of the POWER4, and later GX+ and GX++ in POWER5 and POWER6 respectively. The GX bus is also used in IBM's z10 and z196 System z mainframes.
- Contribution to the history of Unix at Bull (Interesting reading concerning the use of PowerPC 620 at Bull. In French)
Extended family[edit]
PowerPC 602[edit]
The PowerPC 602 was a stripped-down version of PowerPC 603, specially made for game consoles by Motorola and IBM, introduced in February 1995.[29] It has smaller L1 caches (4 KB instruction and 4 KB data), a single-precision floating-point unit[29] and a scaled back branch prediction unit. It was offered at speeds ranging from 50 to 80 MHz, and drew 1.2 W at 66 MHz. It consisted of 1 million transistors and it was 50 mm2 large manufactured in a 0.5 μm, CMOS process with four levels of interconnect.[30]
3DO developed the M2 game console that used two PowerPC 602,[29][31] but it was never marketed.
PowerPC 603q[edit]
On October 21, 1996, the fabless semiconductor company Quantum Effect Devices (QED) announced a PowerPC 603-compatible processor named "PowerPC 603q" at the Microprocessor Forum. Despite its name, it did not have anything in common with any other 603. It was a from the ground up implementation of the 32-bit PowerPC architecture targeted at the high-end embedded market developed over two years. As such, it was small, simple, energy efficient, but powerful; equaling the more expensive 603e while drawing less power. It had an in-order, five-stage pipeline with a single integer unit, a double-precision floating-point unit (FPU) and separate 16 KB instruction and 8 KB data caches. While the integer unit was a brand new design, the FPU was derived from the R4600 to save time. It was 69 mm2 small using a 0.5 μm fabrication process and drew just 1.2 W at 120 MHz.[32][33]
The 603q was designed for Motorola, but they withdrew from the contract before the 603q went into full production. As a result, the 603q was canceled as QED could not continue to market the processor since they lacked a PowerPC license of their own.
PowerPC 613[edit]
"PowerPC 613" seems to be a name Motorola had given a third generation PowerPC.[34][35][36] It supposedly was renamed "PowerPC 750" in response to Exponential Technology's x704 processor that was designed to outgun the 604 by a wide margin. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor.
PowerPC 614[edit]
Similar to PowerPC 613, the "PowerPC 614" might have been a name given by Motorola to a third generation PowerPC,[34][36] and later renamed by the same reason as 613. It's been suggested that the part was renamed "PowerPC 7400", and Motorola even bumped it to the fourth generation PowerPC even though the architectural differences between "G3" and "G4" was small. There are hardly any sources confirming any of this though and it might be pure speculation, or a reference to a completely different processor.
PowerPC 615[edit]
The "PowerPC 615" is a PowerPC processor announced by IBM in 1994, but which never reached mass production. Its main feature was to incorporate an x86 core on die, thus making the processor able to natively process both PowerPC and x86 instructions.[37] An operating system running on PowerPC 615 could either choose to execute 32-bit or 64-bit PowerPC instructions, 32-bit x86 instructions or a mix of three. Mixing instructions would involve a context switch in the CPU with a small overhead. The only operating systems that supported the 615 were Minix and a special development version of OS/2.[38]
It was 330 mm2 large and manufactured by IBM on a 0.35 μm process. It was pin compatible with Intel's Pentium processors and comparable in speed. The processor was introduced only as a prototype and the program was killed in part by the fact that Microsoft never supported the processor. Engineers working on the PowerPC 615 would later find their way to Transmeta, where they worked on the Crusoe processor. With progress having been demonstrated in the development of dynamic translation software, such as Digital's FX!32 technology, skepticism was expressed about dedicating hardware resources to running foreign binaries when such resources could be used to improve native performance instead, this also benefiting the performance of translated binaries.[39]: 94
PowerPC 625[edit]
"PowerPC 625" was the early name for the Apache series 64-bit PowerPC processors, designed by IBM based on the "Amazon" PowerPC-AS instruction set. They were later renamed "RS64". The designation "PowerPC 625" was never used for the final processors.
PowerPC 630[edit]
"PowerPC 630" was the early name for the high end 64-bit PowerPC processor, designed by IBM to unify the POWER and PowerPC instruction sets. It was later renamed "POWER3", probably to distinguish it from the more consumer oriented "PowerPC" processors used by Apple.
PowerPC 641[edit]
"PowerPC 641", codename Habanero, is a defunct PowerPC project by IBM in the 1994–96 timeframe. It has been suggested that was the third generation PowerPC based on the 604 processor.[40][41]
See also[edit]
- PowerPC 970
- IBM POWER Instruction Set Architecture
- IBM Power microprocessors
- Power ISA
- List of Macintosh models grouped by CPU type
References[edit]
- ^ Stokes, Jon (August 3, 2004). "PowerPC on Apple: An Architectural History, Part I (page 2, "PowerPC 601")". Ars Technica.
- ^ "The Bus Interface for 32-Bit Microprocessors" (PDF). Motorola. 1997.
- ^ Allen, M.; Becker, M (February 1993). Multiprocessing Aspects of the PowerPC 601 Microprocessor. Compcon. pp. 117–126.
- ^ Becker, Michael K.; et al. (September 1993). "The PowerPC 601 Microprocessor". IEEE Micro. 13 (5): 54–68. doi:10.1109/40.238002. S2CID 26895845.
- ^ Moore, C.R. (February 1993). The PowerPC 601 Microprocessor. Compcon. pp. 109–116.
- ^ "PowerPC 601 Microprocessor". Archived from the original on February 7, 2009.
- ^ Pham et al., "A 3.0 W 75 SPECint92 85 SPECfp92 Superscalar RISC Microprocessor", ISSC Digest Of Technical Papers, pp. 212–213, Feb. 1994.
- ^ Burgess et al., "The PowerPC 603 Microprocessor: A High Performance, Low Power, Superscalar RISC Microprocessor", Proceedings of COMPCON '94, Feb. 1994.
- ^ Gary et al., "The PowerPC 603 Microprocessor: A Low-Power Design For Portable Applications", Proceedings of COMPCON '94, Feb. 1994.
- ^ a b c Gerosa et al., "A 2.2 W, 80 MHz Superscalar RISC Microprocessor", IEEE Journal of Solid-State Circuits, vol. 29, pp. 1440–1454, Dec. 1994.
- ^ James Kahle; Deene Ogden. "PowerPC 603 Microprocessor". IBM. Archived from the original on August 6, 1997.
- ^ Linley Gwennap (February 27, 1997). "Arthur Revitalizes PowerPC Line" (PDF). Microprocessor Report. Vol. 11, no. 2. S2CID 51808955. Archived from the original (PDF) on July 30, 2018.
The 603's tiny 8K caches were notoriously poor for Mac OS software, particularly for 68K emulation; even the 603e's caches cause a significant performance hit at higher clock speeds. Given Arthur's design target of 250 MHz and up, doubling the caches again made sense.
- ^ Jansen, Daniel (2014). "CPUs: PowerPC 603 and 603e". Low End Mac. Retrieved 29 July 2018.
- ^ Barber, Scott (1997). "Performa and Power Mac x200 Issues". Low End Mac. Retrieved 29 July 2018.
- ^ Davison, Remy. "The 10 Worst Macs Ever Built". Insanely Great Mac. Archived from the original on February 1, 2010. Retrieved July 30, 2018.
- ^ Knight, Daniel (2014). "Power Mac and Performa x200, Road Apples". Low End Mac. Retrieved 29 July 2018.
- ^ "Performa 5200". Low End Mac. 1995. Retrieved 29 July 2018.
- ^ "Freescale's 603e page". Freescale Semiconductor.
- ^ "IBM's 603e page". Archived from the original on February 7, 2009.
- ^ LeCroy 1998 Test & Measurement Products Catalog, TMCAT98 0498
- ^ LeCroy 2001 Test and Measurement Products Catalog
- ^ Stokes, Jon (August 3, 2004). "PowerPC on Apple: An Architectural History, Part I (page 6, The PowerPC 604)". Ars Technica.
- ^ Gwennap, Linley (April 18, 1994). "PPC 604 Powers Past Pentium". Microprocessor Report. 8 (5).
- ^ Song, Peter S.; Denman, Marvin; Chang, Joe (October 1994). "The PowerPC 604 RISC Microprocessor". IEEE Micro. 14 (5): 8. doi:10.1109/MM.1994.363071. S2CID 11603864.
- ^ "IBM's PowerPC 604e page". IBM.[dead link]
- ^ "NXP's PowerPC 604e page".
- ^ "IBM trashes PowerPC 620 system plans". Tech Monitor. New Statesman Media Group Ltd. August 25, 1997. Retrieved March 20, 2021.
- ^ Thompson, Tom; Ryan, Bob. "PowerPC 620 Soars". Byte. Archived from the original on December 20, 1996.
- ^ a b c "M2". Next Generation (6). Imagine Media: 36–40. June 1995.
- ^ "PowerPC 602 RISC Microprocessor Hardware Specification" (PDF). Archived from the original (PDF) on 2016-08-07. Retrieved 2016-07-24.
- ^ 3DO/Matsushita M2 Console Information
- ^ "QED Announces PowerPC Microprocessor Technology Development In Addition To Existing MIPS Microprocessors" (Press release). Quantum Effect Devices. October 21, 1996. Archived from the original on July 12, 2007.
- ^ Turley, Jim (November 18, 1996). "QED's PowerPC 603q Heads for Low Cost". Microprocessor Report: 22–23.
- ^ a b PowerPC revving up for next generation – Speedier RISC ahead through '97
- ^ Art Arizpe -Project Manager/Engineering Manager Motorola, 1991–1996
- ^ a b Processor Codenames – PowerPC's
- ^ Halfhill, Tom R. "Alternate Views of the 615". Byte. Archived from the original on December 20, 1996.
- ^ "Microsoft killed the PowerPC 615". The Register. October 1, 1998.
- ^ "DEC Unveils FX!32 Tech". Electronic News. 6 November 1995. pp. 1, 94. Retrieved 12 October 2022.
- ^ "Charles Moore's resume" (PDF). Archived from the original (PDF) on July 24, 2011.
- ^ Every, David K. (1999). "G3's - they just keep getting better". Archived from the original on October 10, 1999.
Further reading[edit]
- Weiss, Shlomo; Smith, James Edward (1994). POWER and PowerPC. Morgan Kaufmann. ISBN 1558602798. — Relevant parts: Chapter 8 (describes the PowerPC 601), and Chapter 11 (a comparison of the PowerPC 601 and Alpha 21064)